npm package discovery and stats viewer.

Discover Tips

  • General search

    [free text search, go nuts!]

  • Package details

    pkg:[package-name]

  • User packages

    @[username]

Sponsor

Optimize Toolset

I’ve always been into building performant and accessible sites, but lately I’ve been taking it extremely seriously. So much so that I’ve been building a tool to help me optimize and monitor the sites that I build to make sure that I’m making an attempt to offer the best experience to those who visit them. If you’re into performant, accessible and SEO friendly sites, you might like it too! You can check it out at Optimize Toolset.

About

Hi, 👋, I’m Ryan Hefner  and I built this site for me, and you! The goal of this site was to provide an easy way for me to check the stats on my npm packages, both for prioritizing issues and updates, and to give me a little kick in the pants to keep up on stuff.

As I was building it, I realized that I was actually using the tool to build the tool, and figured I might as well put this out there and hopefully others will find it to be a fast and useful way to search and browse npm packages as I have.

If you’re interested in other things I’m working on, follow me on Twitter or check out the open source projects I’ve been publishing on GitHub.

I am also working on a Twitter bot for this site to tweet the most popular, newest, random packages from npm. Please follow that account now and it will start sending out packages soon–ish.

Open Software & Tools

This site wouldn’t be possible without the immense generosity and tireless efforts from the people who make contributions to the world and share their work via open source initiatives. Thank you 🙏

© 2024 – Pkg Stats / Ryan Hefner

@vhdl-linter/vhdl-linter

v1.8.23

Published

A typescript based linter for vhdl

Downloads

322

Readme

vhdl-linter

Introducing the open-source VHDL Linter, written in TypeScript and thoroughly unit-tested for maximum reliability. Our linter is the perfect tool for checking your VHDL code for errors and ensuring that it adheres to coding standards. With its advanced analysis engine, written in TypeScript, the VHDL Linter can quickly and easily identify any issues in your code, such as syntax errors or suboptimal coding practices. Plus, our extensive unit testing ensures that the linter is reliable and accurate, so you can trust the results it provides. Try the VHDL Linter today and see the difference it can make in your design process.

(written by ChatGPT)

Node CI VUnit Compile TS Lint

Installation

The vhdl-linter can be used as a cli executable or as a VS Code extension.

Stand alone command line executable

npm i -g @vhdl-linter/vhdl-linter

This provides the vhdl-linter executable which can be used to lint one folder from the command line (e.g. for CI).

Extension for VS Code

  • Press Ctrl+P to open the VS Code Quick Open Dialog
  • Enter ext install g0t00.vhdl-linter

Configuration

Rules and style settings can be configured with vhdl-linter.yml configuration files which modifies the default settings:

configuration-example

Features (list is still incomplete)

Project wide rename support

Most identifier can be renamed with the project wide rename feature. This includes:

  • ports
  • signals
  • package names
  • entity names
  • project wide definitions in packages (types, functions, etc.)

rename

Signature Help

Show the signature of the object being instantiated. Currently working for instantiation of:

  • entities
  • procedures
  • components

Signature help is not yet supported for calling of functions.

signature-helper signature-helper-long

Region Folding

Fold regions according to the file content:

  • declarations
  • statements
  • use clauses (incl. library)
  • interface lists
  • special blocks like instantiations, association lists, case (generate), types (record and protected)

Style checking

Checks custom naming styles:

namingStyle

Entity Converter

Automatically convert entities to a commonly used template:

  • instantiation
  • component
  • SystemVerilog instantiation
  • ports to signals

entityConverter

Target library inference

The target library of vhdl design units is parsed from csv files like vunit in the format libary,filename

  • The library is used for auto completion, reference checking and template generation (e.g. instantiation template for entities)